EDA交通灯课程设计(带有测试平台testbench)精讲 联系客服

发布时间 : 星期六 文章EDA交通灯课程设计(带有测试平台testbench)精讲更新完毕开始阅读e460bd8ee97101f69e3143323968011ca300f7ff

component jiaotongdeng is --调用jiaotongdeng模块; port (

clk1k, clr : in std_logic;

shuma : out std_logic_vector(6 downto 0); sel : out std_logic_vector(3 downto 0); led1 :out std_logic_vector(7 downto 0) ); end component; begin

-- instance

u_jiaotongdeng : jiaotongdeng port map ( --端口映射; clk1k => sig_clk1k, clr => sig_clr, led1 =>sig_led1, shuma => sig_shuma, sel =>sig_sel );

process begin

sig_clk1k <='0';wait for period; sig_clk1k <='1'; wait for period; end process;

sig_clr <= '1', '0' after 3 sec, '1' after 10 sec,'0' after 11 sec; end behaviour;

18

附录三:

/*************************试验箱仿真结果**********************/

注释说明:右下角四个数码管左边两位显示的时间是A方向剩余时间,右边两位数码管显示的时间是B方向剩余时间,右上角第一行的四个LED灯从左至右依次表示的左转绿灯、黄灯、直行绿灯、直行红灯。第二行的四个LED灯是B方向的各状态灯,表示顺序与A方向一致。

时间显示部分 每个状态显示部分

19