八路彩灯控制程序 联系客服

发布时间 : 星期一 文章八路彩灯控制程序更新完毕开始阅读a9f7e0b28762caaedd33d4b1

reg[7:0] ledout; reg[2:0] count; reg[4:0] count2; reg clkflag; reg[1:0] in;

always @(posedge clk) begin if(!reset) count<=0;

else if(count<=3) begin clkflag<=0; count<=count+1; end

else if(count<7) begin clkflag<=1; count<=count+1; end

else if(count==7) begin clkflag<=1; count<=0; end else begin clkflag<=1; count<=count+1; end end

always @(posedge clk) begin

if(!reset) count2<=0; else if(count2<=7) begin in<=2'b00; count2<=count2+1; end

else if(count2<=15) begin in<=2'b01; count2<=count2+1; end

else if(count2<23) begin in<=2'b10; count2<=count2+1; end

else if(count2==23) begin in<=2'b10; count2<=0; end else begin in<=2'bZZ; count2<=0; end end

always@(clkflag or count or in or reset)

if(!reset) ledout<=8'h00; else begin case(in)

2'b00: if(clkflag) ledout=8'hFF; else ledout=8'h00; 2'b01: case(count) 'h0:ledout=8'h80; 'h1:ledout=8'h40; 'h2:ledout=8'h20; 'h3:ledout=8'h10; 'h4:ledout=8'h08; 'h5:ledout=8'h04; 'h6:ledout=8'h02; 'h7:ledout=8'h01; default:ledout=8'h00; endcase

2'b10: if(clkflag) ledout=8'hAA; else ledout=8'h55; default: ledout=8'h00; endcase end endmodule

六、电路安装与调试

测试模块:

`timescale 1ns/1ns module testbench; reg clk,reset; wire [7:0] ledout;

caideng led_inst(clk,ledout,reset); initial begin

reset=1'b1;

#10 reset=1'b0; #40 reset=1'b1;

end initial

begin clk=1'b0; forever #10 clk=~clk; end

Endmodule

七、课程设计体会

通过整个电路设计与制作的整个过程, 掌握了 组装与调试 方法. 熟悉了中,小规模集成电路的使用. 通过理论与实践的结合,进一步深入的体会到一种学习的方法,特别是对与 电子设计方面.首先要明确总体的设计方案与方法;其次是对各个部分进行设计 与改进;最后将各个部分整合在一起进行比较,观察. 在流水灯实验设计当中遇到的首要问题有三个:一是电路的总体设计问题; 二是电路的焊接问题;三是电路的调试问题.基于所学数字电路知识的局限性, 在选择元器件方面有所困难,开始无从下手应该确定使用何种元件.通过查找资 料等过程首先确定了元件,从而确定了总电路图.由于初次进行焊接工作,所以 在电路焊接的时候造成了许多虚焊,导致电路无法正常运行.加重了电路调试的 作业量. 总的来说,流水灯的课程设计有利于培养我们对电子设计的兴趣,是一次很 好的理论与实际的结合,希望能有更多机会进行这些课程设计.

八、参考文献

[1]《数字逻辑与数字统计》 (第三版),王永军,李景华,电子工业出版社. [2]《电子技术实验与课程设计》 (第二版),毕满清,机械工业出版社. [3]《数字逻辑电路学习与实训指导》,梅开乡,电子工业出版社.