数字频率计设计-毕业设计 联系客服

发布时间 : 星期五 文章数字频率计设计-毕业设计更新完毕开始阅读a38033b1c1c708a1294a4449

附录8: 锁存源程序代码

library ieee;

use ieee.std_logic_1164,all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity lock is

port(reset:in std_logic;

lockin:in std_logic; lockout:out std_logic);

end lock;

architecture behave of lock is signal inlock:std_logic; begin

process(reset,lockin) begin

if reset='1' then

inlock<='0';

elsif lockin'event and lockin='1' then

inlock<=not inlock;

end if;

end process;

lockout<=inlock;

end behave;

24

参考文献:

[1] 朱正伟:EDA技术及应用,清华大学出版社,2005.10, P8-P16

[2] 潘 松:EDA技术与VHDL(第2版),清华大学出版社,2007.1, P118-P159 [3] 潘 松、黄继业:EDA技术实用教程,科学出版社,2005, P12-P19 [4] 赵明富:EDA技术与实践,清华大学出版社,2005.11, P15-P28 [5] 赵明富、刘文进:EDA技术基础,北京大学出版社,2007.6, P99-P106 [6] 邹 彦:EDA技术与数字系统设计,电子工业出版社,2007.4, P25-P66 [7] 柳春锋:电子设计自动化(EDA)教程,北京理工大学出版社,2005.8, P1-P15 [8] 杨荣章:自制简易频率计,化工自动化及仪表,1982.8, P200-P201

[9] 曾任贤、李少义:基于VHDL的简易数字频率计的设计与实现,科技广场,2007.8, P1 [10] 李兆荣:单片微机8031构成的智能化频率计,宇航计测技术,1993.5, P18 [11] 刘 奇:基于CVI的频率计、相位差计的实现方法,电工技术,2000.11, P66-P76 [12] 王 研:PL-1型工频频率计,电子报,2000.1, P107-P109 [13] 赵明安:可测晶振的1000MHz频率计,电子报,2002.5, P55-P66 [14] 梁中明:一种频率计的设计方案,电子报,2008.5, P66 [15] 兰得春:1Hz信号发生器,电子报,2008.5, P99-P105

[16] 张庆玲:用FPGA设计数字式频率计,西北轻工业学院学报,2000.4, P32-P44 [17] 谈 学:基于单片机的等精度频率计设计,重庆工商大学学报,2004.2, P78-P88 [18] 毛文宇、吴剑文、李伟斌: 等精度频率计的设计,科技咨询导报,2007.11, P240 [19] 张淑骅:基于FPGA的8位十进制频率计设计,科技信息(科学教研),2008.1, P315 [20] 胡学武:用AT89C52实现智能型频率计,苏州大学学报(工科版),2009.4, P10-P15

25