基于EDA的交通灯控制系统设计 联系客服

发布时间 : 星期日 文章基于EDA的交通灯控制系统设计更新完毕开始阅读41117c79250c844769eae009581b6bd97e19bc4e

※※※※※※※※※ ※※

2008级学生

※ ※ ※

EDA课程设计

EDA课程设计报告书

课题名称 基于EDA的交通灯控制系统设计 姓 名

陈 勇

学 号 0812201-08 院 系 专 业 指导教师

物理与电信工程系 电子信息工程 田旺兰 讲师

精选范本

2011年 6月10日

一、设计任务及要求: 设计任务: 设计一个具有四种信号灯的交通灯控制器。 要 求: 1.由一条主干道和一条支干道汇合成十字路口,在每个入口处设置红、绿、黄、左拐允许四盏信号灯,红灯亮禁止通行,绿灯亮允许通行,黄灯亮则给行驶中的车辆有时间停在禁行线外,左拐灯亮允许车辆向左拐弯。 2.信号灯变换次序为:主支干道交替允许通行,主干道每次放行40秒,亮5秒红灯让行驶中的车辆有时间停到禁行线外,左拐放行15秒,亮5秒红灯;支干道放行30秒,亮5秒黄灯,左拐放行15秒,亮5秒红灯……。各计时电路为倒计时显示。 指导教师签名: 2011 年 月 日 二、指导教师评语: 指导教师签名: 2011 年 月 日 精选范本

三、成绩 验收盖章 2011 年 月 日

基于EDA的交通灯控制系统设计

1 设计目的

(1)掌握十字路口交通灯控制的设计原理,并能够运用VHDL编程语言编写出实验程序,进一步对所学的EDA知识进行掌握与实际应用。

(2)学会在quartusII软件环境中仿真,熟悉软件的基本操作和运行环境。 (3)锻炼自己获取信息的能力,以及能够独立自主的思考和解决问题的能力。

2设计的主要内容和要求

设计一个基于EDA的交通灯控制系统,所要设计的交通信号灯控制电路要能够适用于由一条主干道和一条支干道的汇合点形成的十字交叉路口。能够做到主、支干道的红绿灯闪亮的时间不完全相同,在绿灯跳变红灯的过程中能够用黄灯进行过渡,使得行驶过程中的车辆有足够的时间停下来。还要求在主、支干道各设立一组计时显示器,能够显示相应的红、黄、绿倒计时。可以利用VHDL语言合理设计系统功能,使红黄绿灯的转换有一个准确的时间间隔和转换顺序。

3 整体设计方案

根据设计要求和系统所具有功能,并参考相关的文献资料,经行方案设计,画出如下所示的十字路口交通灯控制器系统框图,及为设计的总体方案,框图如

精选范本

图3.1所示。并且可以得出系统的状态图如图3.2所示,其中:

S0:支干道没有车辆行驶,支干道绿灯,支干道红灯 S1:支干道有车辆行驶,支干道绿灯,支干道红灯 S2:主干道黄灯,支干道绿灯 S3:主干道红灯,支干道绿灯 S4:主干道红灯,支干道黄灯

CLK 时钟分频模块 交通灯控制及计时模块 扫描显示模块 LED显示 数码管位码 数码管段码

图3.1 整体设计方框图

精选范本